M. Beauchamp, S. Hauck, K. Underwood, and K. Hemmert, Architectural modifications to improve floating-point unit efficiency in FP- GAs, Field Programmable Logic and Applications, pp.1-6, 2005.

M. J. Beauchamp, S. Hauck, K. D. Underwood, and K. S. Hemmert, Embedded floating-point units in FPGAs, Proceedings of the internation symposium on Field programmable gate arrays , FPGA'06, pp.12-20, 2006.
DOI : 10.1145/1117201.1117204

N. Brisebarre and J. Muller, Correctly rounded multiplication by arbitrary precision constants, Proc. 17th IEEE Symposium on Computer Arithmetic (ARITH-17, 2005.
URL : https://hal.archives-ouvertes.fr/ensl-00000010

K. Chapman, Fast integer multipliers fit in FP- GAs (EDN 1993 design idea winner) EDN magazine, 1994.

F. De-dinechin, C. Lauter, and G. Melquiond, Assisted verification of elementary functions using Gappa, Proceedings of the 2006 ACM symposium on Applied computing , SAC '06, pp.1318-1322, 2006.
DOI : 10.1145/1141277.1141584

F. De-dinechin and V. Lefèvre, Constant multipliers for FPGAs, Parallel and Distributed Processing Techniques and Applications, pp.167-173, 2000.
URL : https://hal.archives-ouvertes.fr/ensl-00269219

A. Dehon and N. Kapre, Optimistic parallelization of floating-point accumulation, 18th Symposium on Computer Arithmetic, pp.205-213, 2007.

M. Delorimier and A. Dehon, Floating-point sparse matrix-vector multiply for FPGAs, Proceedings of the 2005 ACM/SIGDA 13th international symposium on Field-programmable gate arrays , FPGA '05, pp.75-85, 2005.
DOI : 10.1145/1046192.1046203

J. Detrey and F. De-dinechin, Table-based polynomials for fast hardware function evaluation [10] J. Detrey and F. de Dinechin. Floating-point trigonometric functions for FPGAs, Application-specific Systems, Architectures and Processors Intl Conference on Field-Programmable Logic and Applications, pp.328-333, 2005.
DOI : 10.1109/asap.2005.61

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.164.327

J. Detrey and F. De-dinechin, Parameterized floating-point logarithm and exponential functions for FPGAs, Microprocessors and Microsystems, 2007.
DOI : 10.1016/j.micpro.2006.02.008

URL : https://hal.archives-ouvertes.fr/ensl-00542213

J. Detrey and F. De-dinechin, A Tool for Unbiased Comparison between Logarithmic and Floating-point Arithmetic, The Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology, vol.23, issue.1, 2007.
DOI : 10.1007/s11265-007-0048-7

URL : https://hal.archives-ouvertes.fr/ensl-00542212

J. Detrey, F. De-dinechin, and X. Pujol, Return of the hardware floating-point elementary function, 18th IEEE Symposium on Computer Arithmetic (ARITH '07), pp.161-168, 2007.
DOI : 10.1109/ARITH.2007.29

URL : https://hal.archives-ouvertes.fr/ensl-00117386

V. Dimitrov, L. Imbert, and A. Zakaluzny, Multiplication by a Constant is Sublinear, 18th IEEE Symposium on Computer Arithmetic (ARITH '07), 2007.
DOI : 10.1109/ARITH.2007.24

URL : https://hal.archives-ouvertes.fr/lirmm-00158322

C. Doss, R. L. Riley, and J. , FPGA-Based Implementation of a Robust IEEE-754 Exponential Unit, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, pp.229-238, 2004.
DOI : 10.1109/FCCM.2004.38

Y. Dou, S. Vassiliadis, G. K. Kuzmanov, and G. N. Gaydadjiev, 64-bit floating-point FPGA matrix multiplication, Proceedings of the 2005 ACM/SIGDA 13th international symposium on Field-programmable gate arrays , FPGA '05, pp.86-95, 2005.
DOI : 10.1145/1046192.1046204

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.108.9463

M. J. Flynn and S. F. Oberman, Advanced Computer Arithmetic Design, 2001.

D. Goldberg, What every computer scientist should know about floating-point arithmetic, ACM Computing Surveys, vol.23, issue.1, pp.5-47, 1991.
DOI : 10.1145/103162.103163

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.1.7712

N. J. Higham, Accuracy and stability of numerical algorithms, SIAM, 1996.
DOI : 10.1137/1.9780898718027

D. Knuth, Seminumerical Algorithms, The Art of Computer Programming, 1997.

U. Kulisch, Circuitry for generating scalar products and sums of floating point numbers with maximum accuracy, 1986.

U. W. Kulisch, Advanced Arithmetic for the Digital Computer, Design of Arithmetic Units, Electronic Notes in Theoretical Computer Science, vol.24, 2002.
DOI : 10.1016/S1571-0661(05)80622-X

J. Langou, J. Langou, P. Luszczek, J. Kurzak, A. Buttari et al., Exploiting the Performance of 32 bit Floating Point Arithmetic in Obtaining 64 bit Accuracy (Revisiting Iterative Refinement for Linear Systems), ACM/IEEE SC 2006 Conference (SC'06), 2006.
DOI : 10.1109/SC.2006.30

]. B. Lee and N. Burgess, Parameterisable floating-point operators on FPGAs, 36th Asilomar Conference on Signals, Systems, and Computers, pp.1064-1068, 2002.

D. Lee, A. Gaffar, O. Mencer, and W. Luk, Optimizing Hardware Function Evaluation, IEEE Transactions on Computers, vol.54, issue.12, pp.1520-1531, 2005.
DOI : 10.1109/TC.2005.201

V. Lefèvre, Multiplication by an integer constant, 1999.

Y. Li and W. Chu, Implementation of single precision floating point square root on FPGAs, IEEE Symposium on FPGAs for Custom Computing Machines, pp.56-65, 1997.

G. Lienhart, A. Kugel, and R. Männer, Using floating-point arithmetic on FPGAs to accelerate scientific N-Body simulations, Proceedings. 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2002.
DOI : 10.1109/FPGA.2002.1106673

W. Ligon, S. Mcmillan, G. Monn, K. Schoonover, F. Stivers et al., A re-evaluation of the practicality of floating-point operations on FPGAs, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251), 1998.
DOI : 10.1109/FPGA.1998.707898

J. Liu, M. Chang, and C. Cheng, An iterative division algorithm for FPGAs, Proceedings of the internation symposium on Field programmable gate arrays , FPGA'06, pp.83-89
DOI : 10.1145/1117201.1117213

P. Markstein, IA-64 and Elementary Functions: Speed and Precision. Hewlett-Packard Professional Books, 2000.

K. R. Nichols, M. A. Moussa, and S. M. Areibi, Feasibility of floating-point arithmetic in FPGA based artificial neural networks, In CAINE, pp.8-13, 2002.

S. F. Oberman and M. J. Flynn, Design issues in division and other floating-point operations, IEEE Transactions on Computers, vol.46, issue.2, pp.154-161, 1997.
DOI : 10.1109/12.565590

T. Ogita, S. M. Rump, and S. Oishi, Accurate Sum and Dot Product, SIAM Journal on Scientific Computing, vol.26, issue.6, pp.1955-1988, 2005.
DOI : 10.1137/030601818

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.2.1547

F. Ortiz, J. Humphrey, J. Durbano, and D. Prather, A study on the design of floatingpoint functions in FPGAs, Field Programmable Logic and Applications, pp.1131-1135, 2003.

E. Roesler and B. Nelson, Novel Optimizations for Hardware Floating-Point Units in a Modern FPGA Architecture, Field Programmable Logic and Applications, pp.637-646, 2002.
DOI : 10.1007/3-540-46117-5_66

N. Shirazi, A. Walters, and P. Athanas, Quantitative analysis of floating point arithmetic on FPGA based custom computing machines, Proceedings IEEE Symposium on FPGAs for Custom Computing Machines, pp.155-162, 1995.
DOI : 10.1109/FPGA.1995.477421

N. Takagi, A hardware algorithm for computing reciprocal square root, Proceedings 15th IEEE Symposium on Computer Arithmetic. ARITH-15 2001, pp.94-100, 2001.
DOI : 10.1109/ARITH.2001.930108

N. Takagi and S. Kuwahara, A VLSI algorithm for computing the euclidean norm of a 3D vector, IEEE Transactions on Computers, vol.49, issue.10, pp.1074-1082, 2000.

I. Trestian, O. Cret¸, L. Cret¸, . Cret¸, L. Cret¸ et al., FPGAbased computation of the inductance of coils used for the magnetic stimulation of the nervous system, 2007.
URL : https://hal.archives-ouvertes.fr/ensl-00169909

L. Zhuo and V. Prasanna, Scalable and modular algorithms for floating-point matrix multiplication on FPGAs, Reconfigurable Architecture Workshop, Intl. Parallel and Distributed Processing Symposium, 2004.